how to simulate an analog delay line?

Hi, I am wanting to simulate an analog delay line in the order of 10ths of milliseconds. By analog delay line, I don't mean a time delay. Rather, I mean a function that will output a delayed analog signal by say 10ms. One thought is that it might be possible using a laplace transform, refer to the following link: http://lpsa.swarthmore.edu/BackGround/TimeDelay/TimeDelay.html

Does anybody know how this could be done?

Thanks in advance for any assistance provided.

Regards, Sean

by xorn8
June 15, 2014

Post a Reply

Please sign in or create an account to comment.